基于FPGA的电子抢答器的程序设计毕业设计.docx

上传人:p** 文档编号:582351 上传时间:2023-11-19 格式:DOCX 页数:20 大小:131.26KB
下载 相关 举报
基于FPGA的电子抢答器的程序设计毕业设计.docx_第1页
第1页 / 共20页
基于FPGA的电子抢答器的程序设计毕业设计.docx_第2页
第2页 / 共20页
基于FPGA的电子抢答器的程序设计毕业设计.docx_第3页
第3页 / 共20页
基于FPGA的电子抢答器的程序设计毕业设计.docx_第4页
第4页 / 共20页
基于FPGA的电子抢答器的程序设计毕业设计.docx_第5页
第5页 / 共20页
基于FPGA的电子抢答器的程序设计毕业设计.docx_第6页
第6页 / 共20页
基于FPGA的电子抢答器的程序设计毕业设计.docx_第7页
第7页 / 共20页
基于FPGA的电子抢答器的程序设计毕业设计.docx_第8页
第8页 / 共20页
基于FPGA的电子抢答器的程序设计毕业设计.docx_第9页
第9页 / 共20页
基于FPGA的电子抢答器的程序设计毕业设计.docx_第10页
第10页 / 共20页
亲,该文档总共20页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《基于FPGA的电子抢答器的程序设计毕业设计.docx》由会员分享,可在线阅读,更多相关《基于FPGA的电子抢答器的程序设计毕业设计.docx(20页珍藏版)》请在第壹文秘上搜索。

1、基于FPGA的电子抢答器的程序设计摘要随着科学技术日新月异,文化生活日渐丰硕,在各类竞赛、抢答场合电子抢答器已经作为一种工具取得了较为普遍的应用。顾名思义,电子抢答器是一种通过抢答者的指示灯显示、数码显示和警示显示等手腕准确、公正、直观地判定出最先取得发言权选手的设备。这次设计有4组抢答输入,每组设置一个抢答按钮供抢答者利用。电路具有第一抢答信号的辨别和锁存功能。当第一抢答者按下抢答开关时,该组指示灯亮以示抢答成功。同时,电路也具有自锁功能,保证能够实此刻一路成功抢答有效后,其他三路均不能抢答。本设计基于VHDL语言,采纳FPGA为操纵核心,并结合动手实践完成,具有电路简单、操作方便、灵敏靠得

2、住等优势。该四路抢答器利用VHDL硬件描述语言进行编程,分为七个模块:判定模块,锁存模块,转换模块,扫描模块,片选模块,按时报警模块和译码模块。编程完成后,利用QuartersII工具软件进行编译仿真验证。关键词:VHDL,FPGA,四路抢答器,仿真目录1概述1本论文要紧完成的工作111Lj/于.22开发工具简介3VHDLI口口ISJ713FPGA开发进程与应用4FPGA进展历程及现状4FPGA工作原理4PPGA)5QuartusII软件63系统设计88方8系统硬件设计方案8系统软件设计方案8系统原理详述.104电路程序设计及仿真12抢答锁存模块设计12VHDL源程序12抢答锁存电路的模块13

3、l*14总结15致谢17郑州轻工业学院课程设计任务书题目基于FPGA的电子抢答器的程序设计专业班级电子信息工程10-1班学号姓名要紧内容、大体要求、要紧参考资料等:要紧内容:抢答器是在竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观地判定出抢答者的机械。要求学生利用硬件描述语言(Verilog或VHDD设计基于FPGA的电子抢答器的源程序。实现如下功能:设计一个四路抢答器;在一路成功抢答有效后,其他三路均不能抢答,而且将抢答成功的一路用指示灯显示出来。大体要求:1、学会quartusll的利用,把握FPGA的程序设计方式。二、把握硬件描述语言语法。4、程序设计完成后要求在quartusll

4、中实现功能仿真。要紧参考资料:一、.M.2021,4二、陈怀琛.MATLAB及在电子信息课程中的应用M.北京:电子工业出版社.2020,1完成期限:指导教师签名:课程负责人签名:2021年6月18日1概述设计背景现场可编程门阵列(简称FPGA)是20世纪80年代中期显现的高密度可编程逻辑器件,采纳SRAM开关元件的FPGA是易失性的,每次从头加电,FPGA都要从头装入配置数据。突出优势是可反复编程,系统上电时,给FPGA加载不同的配置数据,即可令其完成不同的硬件功能。这种配置的改变乃至能够在系统的运行中进行,实现系统功能的动态重构。”在系统可编程”(简称ISP)是指对器件、电路或整个电子系统的

5、逻辑功能可随时进行修改或重构的能力,支持ISP技术的可编程逻辑器件称为在系统可编程逻辑器件,它不需要专门的编程器,利用运算机接口和一根下载电缆就能够够对器件编程了。本设计针对电子技术综合实验的要求,利用EDA技术中quartusll作为开发工具,设计了一款基于FPGA的智力竞赛抢答器。抢答器现状在进行智力竞赛抢答题竞赛时,各参赛者考虑后都想抢先答题。若是没有适合的设备,有时难以分清他们的前后,使主持人感到为难。为了使竞赛能顺利进行,需要有一个能判定抢答前后的设备,咱们将它称为智力竞赛抢答器。在许多抢答竞赛、文体娱乐活动,为了准确、公正、直观地判定出第一抢答者,通常需要设置一台如此的抢答器,通过

6、指示灯显示出第一抢答者。本论文要紧完成的工作本课程设计基于VHDL语言,采纳FPGA为操纵核心,并结合动手实践完成,具有电路简单、操作方便、灵敏靠得住等优势。设计四路抢答器利用VHDL硬件描述语言进行编程,分为七个模块:判定模块,锁存模块,转换模块,扫描模块,片选模块,按时报警模块和译码模块。编程完成后,利用QllarterSn工具软件进行编译仿真验证。系统达到要求:在一路成功抢答有效后,其他三路均不能抢答,而且将抢答成功的一路用指示灯显示出来。设计心得通过这次课程设计,帮忙咱们加深明白得FPGA程序设计方式,学会quartusll软件的利用,了解简单多功能抢答器组成原理,把握在quartus

7、ll中实现功能仿真的方式,相应地提高动手能力和排障能力,而且良好地巩固已学的理论知识,将硬件描述语言语法与实践相结合。通过度析多功能抢答器各单元电路之间的关系及彼此阻碍,从而能正确设计、计算按时计数的各个单元电路。2开发工具简介VHDL语言简介VHDL的英文全名是Very-High-SpeedIntegratedCircuitHardwareDescriptionLangUage,诞生于1982年。1987年末,VHDL被IEEE和美国国防部确以为标准硬件描述语言。自IEEE发布了VHDL的标准版本,IEEE-1076以后,各EDA公司接踵推出了自己的VHDL设计环境,或宣布自己的设计工具能够

8、和VHDL接口。尔后VHDL在电子设计领域取得了普遍的同意,并慢慢取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,发布了新版本的VHDL,即IEEE标准的1076/993版本。此刻,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又取得众多EDA公司的支持,在电子工程领域,己成为事实上的通用硬件描述语言。有专家以为,在新的世纪中,VHDL于Verilog语言将承担起大部份的数字系统设计任务。VHDL要紧用于描述数字系统的结构,行为,功能和接口。除含有许多具有硬件特点的语句外,VHDL的语言形式和描述风格

9、与句法是十分类似于一样的运算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(能够是一个元件,一个电路模块或一个系统)分成外部(或称可是部份,及端口)和内部(或称不可视部份),既涉及实体的内部功能和算法完成部份。在对一个设计实体概念了外部界面后,一旦其内部开发完成后,其他的设计就能够够直接挪用那个实体。这种将设计实体分成内外部份的概念是VHDL系统设计的大体点VHDL语言目前在数字设计领域已为广大设计者所同意,众多CAD厂商纷纷使自己新开发的电子设计软件与VHDL兼容,VHDL语言成了电子设计工程师必需把握的工具。在目前,CPU和可编程逻辑器件已经成为数字系统的硬件基础,从事数

10、字系统的设计必需把握可编程逻辑器件的设计方式,而VHDL语言作为可编程逻辑器件设计时最重要的输入方式,为所有可编程逻辑器件厂商所支持。应用VHDL进行工程设计的优势是多方面的。一、与其他的硬件描述语言相较,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最正确的硬件描述语言。壮大的行为描述能力是躲开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。二、VHDL丰硕的仿真语句和库函数,使得在任何大系统的设计初期就能够查验设计系统的功能可行性,随时可对设计进行仿真模拟。三、VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场

11、需求的大规模系统高效,高速的完成必需有多人乃最多个代发组一起并行工作才能实现。四、关于用VHDL完成的一个确信的设计,能够利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。五、VHDL对设计的描述具有相对独立性,设计者能够不懂硬件的结构,也没必要治理最终设计实现的目标器件是什么,而进行独立的设计。FPGA开发进程与应用随着现场可编程逻辑器件愈来愈高的集成度,加上不断显现的I/O标准、嵌入功能、高级时钟治理的支持,使得现场可编程逻辑器愈来愈普遍。FPGA进展历程及现状从Xilinx公司推出了世界上第一片FPGA(现场可编程逻辑芯片),FPGA已经历几十年的进展。从最初的

12、一千多可利用门,进展到90年代的几十万个可利用门,到十一世纪又陆续推出了几万万门的单片FPGA芯片。FPGA利用灵活,适用性强,专门适用于复杂逻辑的设计,有利用电子系统小型化,而且其开发周期短、开发投入少、芯片价钱不断降低,促使FPGA愈来愈多地取代了ASlC的市场。FPGA工作原理FPGA采纳了逻辑单元阵列LCA(LogicCellArray)如此一个概念,内部包括可配置逻辑模块CLB(ConfigurableLogicBlock)输出输入模块IOB(InputOutputBlock)和内部连线(InterComleCt)三个部份。FPGA的大体特点要紧有:1)采纳FPGA设计ASIC电路,

13、用户不需要投片生产,就能够取得合用的芯片。2) FPGA可做其它全定制或半定制ASIC电路的中试样片。3) FPGA内部有丰硕的触发器和I/O引脚。4) FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。5) FPGA采纳高速CHMe)S工艺,功耗低,能够与CMoS、TTL电平兼容。能够说,FPGA芯片是小批量系统提高系统集成度、靠得住性的最正确选择之一。FPGA是由寄存在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户能够依照不同的配置模式,采纳不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FP

14、GA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复利用。FPGA的编程不必专用的FPGA编程器,只须用通用的EPROM、PRe)M编程器即可。当需要修改FPGA功能时,只需换一片EPRC)M即可。如此,同一片FPGA,不同的编程数据,能够产生不同的电路功能。因此,FPGA的利用超级灵活。FPGA开发流程FPGA开发流程能够分为如下几步:设计输入,设计输入要紧包括原理图输入、状态图输入、波形图输入和某种硬件描述语言,比如说是VHDL、Verilog的源程序。它是利用这些输入去描述一个电路的功能。功能仿真,功能仿真确实是利用相关仿真工具对相关电路进行功能级别仿真

15、,也确实是说对你的输入设计的逻辑功能进行相关的模拟测试。在功能上面来了解电路是不是能够达到预期要求。那个地址的功能仿真纯粹是模拟性质的,可不能设计的任何具体器件的硬件特性。综合,综合确实是行为或功能层次表达的电子系统转换成低层次门级电路的网表。布局布线,确实是将综合后的网表文件针对某一个具体的目标器件进行逻辑映射。现在应该利用FPGA厂商提供的实现与布局布线工具,依照所选芯片的型号,进行芯片内部功能单元的实际连接与映射。时序验证,确实是要使得时序仿真进程中,成立与维持时刻要符合相关的制约,以便数据能被正确的传输。使仿真既包括门延时,又包括线延时信息。能较好地反映芯片的实际工作情形。生成SOF等文件,此文件能够通过调试器把它下载到系统中间去。而FPGA设计流程的其他步骤大体上由相关工具去完成,因此只要自己设置好相关参数,不要人为干与太多。而验证的话就需要用户花费大量的时刻去完成。QuartusIl软件QuartusII是Altera提供的FPGA/

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 论文 > 毕业论文

copyright@ 2008-2023 1wenmi网站版权所有

经营许可证编号:宁ICP备2022001189号-1

本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。第壹文秘仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知第壹文秘网,我们立即给予删除!