16×16点阵显示综合实验.docx

上传人:p** 文档编号:66579 上传时间:2022-12-13 格式:DOCX 页数:12 大小:89.42KB
下载 相关 举报
16×16点阵显示综合实验.docx_第1页
第1页 / 共12页
16×16点阵显示综合实验.docx_第2页
第2页 / 共12页
16×16点阵显示综合实验.docx_第3页
第3页 / 共12页
16×16点阵显示综合实验.docx_第4页
第4页 / 共12页
16×16点阵显示综合实验.docx_第5页
第5页 / 共12页
16×16点阵显示综合实验.docx_第6页
第6页 / 共12页
16×16点阵显示综合实验.docx_第7页
第7页 / 共12页
16×16点阵显示综合实验.docx_第8页
第8页 / 共12页
16×16点阵显示综合实验.docx_第9页
第9页 / 共12页
16×16点阵显示综合实验.docx_第10页
第10页 / 共12页
亲,该文档总共12页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《16×16点阵显示综合实验.docx》由会员分享,可在线阅读,更多相关《16×16点阵显示综合实验.docx(12页珍藏版)》请在第壹文秘上搜索。

1、EDA技术综合设计课程设计报告报告题目:16X16点阵显示综合实验作者所在系部:电子工程系作者所在专业:作者所在班级:作者姓名:指导教师:完成时间:编写16X16点阵字符发生器的程序,通过CLK信号控制它的行驱动信号和列选信号让其依次输出中,国人三个字,通过硬件实验观察其结果,对于其他的显示花样以及点亮方式,可以根据实际需要自行设计。关键字:16X16点阵,CLK,显示花样一概述5二方案设计与论证5三程序清单5四器件编程与下载9五性能测试与分析10六实验设备10七心得体会10八参考文献11课程设计任务书课题名称16X16点阵综合显示实验完成时间指导教师职称学生班级总体设计要求和技术要点用EDA

2、的VHDL语言编写16X16点阵的程序,在时钟信号的控制下,通过控制行驱动和列选信号让其动态显示不同的字符及花样。通过编译并下载到EDA实验箱进行验证。工作容及时间进度安排第14周:周一、周二:设计项目的输入、编译、仿真周三:器件编程下载与硬件验证周四:成果验收与总结周五:撰写课程设计总结报告课程设计成果用VHDL语言准确编写出了设计题目的要求,通过了编译,在硬件实验中经过几次的调试看到了预期的效果。一、概述在时钟信号的控制下,使16X16点阵管花样点亮,在EDA试验仪中,16X16点阵显示列的驱动已经做好,其列选信号为SELoUT3.0,送到4线-16线译码电路,译码电路的输出通过8只754

3、51(双2输入与门,OC门)驱动器驱动1616点阵管的16条共阴极列线;所以在设计点阵控制接口时,其列选信号必须由SELOUT3.0输出去控制译码电路。对于信号的频率,采用与七段数码管的位选信号一样的处理方法,即扫描频率大于24Hz;通过CLK信号控制行驱动与列选信号使其动态依次显示“中国人“三个字。其中CLK为时钟输入端,DIN3.0为花样显示模式选择,doout15.0为行驱动信号输出;SELOUT3.0为列选信号输出,去驱动4-16译码电路产生16X16点阵管的列选信号。二、方案设计与论证该程序由三个进程信号组成,进程Kl通过CLK信号控制扫描频率s以及计数信号q,进而由q的记述周期控制

4、CP信号。进程k2由CP信号控制汉字的扫描周期s,实现汉字的依次显示,进程k3由扫描信号S控制点阵的行驱动和列选信号,使其准确显示中国人三个字。用VHDL语言编写程序,经过上级调试与编译,并下载到硬件观察实验结果。三、程序清单libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityAlisport(elk,rst:instd_logic;din:instd_logic_vector(3downto0);dotout:outstd_logic_vector(15downto0);selout:OUTs

5、td_logic_vector(3downto0);endAl;architectureaofAlissignalq:std_logic_vector(7downto0);signalso:std_logic_vector(1downto0);signalcp:std_logic;signals:std_logic_vector(3downto0);beginKlcprocessbeginifrising_edge(clk)thenifs=0lll,thens=,0000;ifq=HllllllllHthenq=wOOOOOOOOwjcp=,l,;elseq=q+l;cp=,O,;endif;

6、elses=s+l;endif;endif;endprocessKI;K2:processbeginifrising_edge(cp)thenifso=,llwthenso=,00;elsesoselout=w0000,;dotoutselout=w0001;dotoutselout=w0010w;dotoutselout=w0011n;dotoutselout=w0100w;dotoutselout=w0101,;dotoutselout=h0110;dotoutselout=w0111w;dotoutselout=l000,;dotoutselout=w100,;dotoutselout=

7、01010;dotoutselout=1011u;dotoutselout=M1100,r;dotoutselout=w110,;dotout11100M;when1110,=selout=ff1110;dotoutselout=0111,;dotoutnull;endcase;elsifso-,10,thencasesiswhen,0000,-selout-,f0000,;dotoutselout=w0001;dotoutselout=0010;dotoutselout-,0011,;dotoutselout=0100;dotoutselout=0101;dotoutselout=h0110

8、;dotoutselout=w0111w;dotoutselout=l000,;dotoutselout=w100,;dotoutselout=01010;dotoutselout=1011,;dotoutse1out=w1100;dotoutselout=1101;dotoutselout=w1110w;dotoutselout=w111,;dotoutnull;endcase;elsifso=,10,rthencasesiswhen,0000w=se1out=w0000;dotout00000,1;when0001,=selout=w0001;dotoutselout=w0010m;dot

9、outselout=w0011,;dotoutselout=h0100M;dotoutselout=w0101w;dotoutselout=w0110;dotoutselout=0111m;dotoutseiout=1000;dotoutselout=1001,;dotoutselout=w1010w;dotoutselout=,1011;dotoutselout=w1100;dotoutselout=w110,;dotoutselout=1110;dotoutselout=w111,;dotoutnull;endcase;elsese1out=wZZZZw;dotoutl & PMttU-c

10、ma2worksevenbl-(LastCompAdUon(Successh,Q*pUIIFfeLdR所layout和叼UblbwQpbonsWow*p口总&4?公酿历e&d&fiQiCpNse:g1(EPM7064LC447)2CokNLegendUnetMgnedDeviceWtdeFanQulUmoutodPataMEMpand.1X8F*0u,SCUOUTC.同时编译以后的器件封装如下图所示.03Dotoutcis.on-Dotoutcis完成编译及引脚的锁定以后将其下载到EDA综合实验箱中,连接线路,观察实验结果五、性能测试与分析完成线路连接以后,加CLK信号,由于信号加的频率过快以及线路的虚接不能完整的显示,经过几次调试,改变频率信号及检查线路问题基本完成了正常的显示,达到预期的效果。六、实验设备EDA综合试验箱,电脑七、心得体会经过这次课程设计,我进一步加深了对VHDL语言的理解,并进一步熟练了对MAX+plus软件的操作。在编写程序的过程中,我遇到了许多问题知道了自己学习中的不足,通过与同学的的探讨终于把问题都解决了,并加深了对点阵原理和设计思路的了解。为以后的设计积累了一定得经验。做课设就应该对自己所设计的方向有一定得了解,运用所学的东西进行编写程序。总之,通过

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > IT计算机 > CSS/Script

copyright@ 2008-2023 1wenmi网站版权所有

经营许可证编号:宁ICP备2022001189号-1

本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。第壹文秘仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知第壹文秘网,我们立即给予删除!