电子技术综合实验课程设计-移位寄存器型彩灯控制器设计.docx

上传人:p** 文档编号:683789 上传时间:2024-01-10 格式:DOCX 页数:19 大小:302.64KB
下载 相关 举报
电子技术综合实验课程设计-移位寄存器型彩灯控制器设计.docx_第1页
第1页 / 共19页
电子技术综合实验课程设计-移位寄存器型彩灯控制器设计.docx_第2页
第2页 / 共19页
电子技术综合实验课程设计-移位寄存器型彩灯控制器设计.docx_第3页
第3页 / 共19页
电子技术综合实验课程设计-移位寄存器型彩灯控制器设计.docx_第4页
第4页 / 共19页
电子技术综合实验课程设计-移位寄存器型彩灯控制器设计.docx_第5页
第5页 / 共19页
电子技术综合实验课程设计-移位寄存器型彩灯控制器设计.docx_第6页
第6页 / 共19页
电子技术综合实验课程设计-移位寄存器型彩灯控制器设计.docx_第7页
第7页 / 共19页
电子技术综合实验课程设计-移位寄存器型彩灯控制器设计.docx_第8页
第8页 / 共19页
电子技术综合实验课程设计-移位寄存器型彩灯控制器设计.docx_第9页
第9页 / 共19页
电子技术综合实验课程设计-移位寄存器型彩灯控制器设计.docx_第10页
第10页 / 共19页
亲,该文档总共19页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《电子技术综合实验课程设计-移位寄存器型彩灯控制器设计.docx》由会员分享,可在线阅读,更多相关《电子技术综合实验课程设计-移位寄存器型彩灯控制器设计.docx(19页珍藏版)》请在第壹文秘上搜索。

1、华州之力士学课程设计(综合实验)报告(20132014年度第一学期)名称:电子技术综合实验题目:移位寄存器型彩灯控制器院系:电气与电子工程学院班级:通信1103班学号:学生姓名:指导教师:设计周数:1周成绩:日期:2014年1月3日目录设计任务书一、综合实验的目的与要求二、设计框图及电路系统概述三、各单元电路的设计方案及原理说明、参数计算四、调试过程及结果分析五、设计、安装及调试中的体会六、参考文献华,匕电力大考课程设计(综合实验)报告电子技术综合实验任务书一、目的与要求1 .目的1.1 课程设计是教学中必不可少的重要环节,通过课程设计巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运

2、用知识的能力,逐步增强实际工程训练。1.2 注重培养学生正确的设计思想,掌握课程设计的主要内容、步骤和方法。1. 3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。2. 4提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。2.要求2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。2. 2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研课程设计中所遇到的问题,培养自己分析、解决问题的能力。2. 3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。2. 4学会

3、电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。2. 5学会撰写课程设计总结报告。2.6通过课程设计,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。3. 7在课程设计过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。二、主要内容共有8个既有学习价值又有一定的实用性和趣味性的设计课题,学生根据自身情况自由选择其中之一。1 .移位寄存器型彩灯控制器2 .智力竞赛抢答器3 .电子拔河游戏机

4、4 .交通信号灯控制器5 .数字电子钟6 .电子密码锁7 .电子秒表8 .数字电子钟(硬件)三、进度计划序号设计(实验)内容完成时间备注1设计内容讲解、学习资料查找方法半天2发放材料、清点材料、熟悉各种元器件半天3查找资料、设计电路、绘制总体电路草图1天4电路组装并调试或在Multisim上绘制电路图、仿真并调试2天5验收、撰写实验报告1天四、设计(实验)成果要求L学生根据所选课题的任务、要求和条件进行总体方案的设计,通过论证与选择,确定总体方案;然后对方案中单元电路进行选择和设计计算;最后画出总体电路图。2 .预设计经指导教师审查通过后,学生即可向实验室领取所需元器件等材料,在面包板上组装、

5、调试电路,使之达到设计指标要求。3 .在MUltiSiIn软件平台上学生可直接设计、仿真和实现,直至达到设计要求。五、考核方式4 合以下指标评定课程设计总成绩:优、良、中、及格和不及格。1 .设计方案的正确性与合理性;2 .实验动手能力(安装工艺水平、调试中分析解决问题的能力以及创新精神等);3 .总结报告;4 .答辩情况(课题的论述和回答问题的情况);5 .设计过程中的学习态度、工作作风和科学精神。学生姓名:指导教师:年月日一、课程设计(综合实验)的目的与要求1 .目的1.1 课程设计是教学中必不可少的重要环节,通过课程设计巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能

6、力,逐步增强实际工程训练。1.2 注重培养学生正确的设计思想,掌握课程设计的主要内容、步骤和方法。1.3 培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。1.4 提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。2 .要求2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。2.2 根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研课程设计中所遇到的问题,培养自己分析、解决问题的能力。2.3 进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。2.4 学会电子电路

7、的安装与调试技能,掌握常用仪器设备的正确使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。2.5 学会撰写课程设计总结报告。2.6 通过课程设计,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。2.7 在课程设计过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。二、设计框图及电路系统概述1 .设计的题目及要求要求采用移位寄存器设计一个彩灯控制器。以某种节拍按一定规律改变彩灯的输入电平值,控制彩灯的亮与灭,按预定规律显示一定的花型.要求

8、三种变换花型:花型1:8路彩灯从左至右顺次渐亮,全亮后逆序渐灭;循环两次。花型2:8路彩灯从中间到两边对称地逐次渐亮,全亮后仍由中间到两边逐次渐灭;循环两次。花型3:8路彩灯分两半,从左至右顺次渐亮,全亮后则灭,循环三次。2 .设计思路和设计过程2.1 原理框图彩灯控制器以某种节拍按一定规律改变彩灯的输入电平值,控制彩灯的亮与灭,按预定规律显示一定的花型。因此彩灯控制器需要一个能够按一定规律输出不同高低电平编码信号的编码发生器,同时还需要编码发生器所要求的时序信号和控制信号。由于实际应用场合所带彩灯可能是功率较大的白炽灯或其它,因此还需要一定的驱动电路,实验中因采用发光二极管或指示灯,故可省略

9、驱动电路。原理图如下:2.2设计思路2.2.1节拍控制器三种花型循环一次需要的节拍数16*2+8*2+5*3=63个,再加上清“0”一拍,共64拍。三种花型若先以慢节拍显示一遍,再以快节拍显示一遍,这样构成一次大循环共需128节拍。快慢节奏的时钟电路框图如下:CPL(慢)的1-32节拍显示花型1,其中1-8节拍移位寄存器右移8位,976节拍移位寄存器左移8位,17-32节拍重复176节拍内容。CPL(慢)的33-48节拍显示花型2,其中33-40节拍移位寄存器1左移,移位寄存器2右移,41-48节拍重复33-40节拍内容。CPL(慢)的49-63节拍显示花型3,其中49-53节拍2个移位寄存器

10、右移,54-5859-63节拍重复49-53节拍内容。CPL(慢)的64节拍总清“0”。CPH(快)的64节拍与慢节拍显示相同。因此,节拍控制电路需要产生8、16、32、64、128拍的节拍控制信号。节拍控制信号电路框图如下:(CPH 或 CPl)CP4CP5CP6CP7节拍控制信号时序图如下:64个CPlh64个CPH花型1I花2I花3花1花2花3cp4-LLLLLLLLLcp511IIILL-Lcp6IIILCP7一2.2.2编码发生器使用74LS194作为移位寄存器,其功能表如下:CrSl,SOCP功能一I0XXX异骄SO100t保持1101右移110左移111并入根据75LS194的功

11、能表,正确的组织控制端SO、SI和左移SL、右移SR的电平信号,以实现所要求的花型的变换。2. 2.3清零电路利用开关实现节拍的清零三、各单元电路的设计方案及原理说明、参数计算3.1按照设计要求,画出大致的对外接口示意图电路图如下:复位信号彩灯控制器4厂厂 餐时钟信号厂厂qhqqq.qqq3. 2将数字系统分为控制器和数据通路两部分,分别设计(1)数据通路的设计用两片74LS194移位寄存器组合,输出端控制8个彩灯。电路图如下:一个时钟信号产生电路和二选一数据选择器的设计:利用7个D触发器实现节拍的控制,前6个D触发器实现64个状态,从而实现3种花型按要求的变化。第7个触发器和二选一逻辑电路实

12、现快慢的变化。下图用示波器观察二选一数据选择器快慢两路信号的变化:用示波器校验其输出频率是否正确从示波器读数得到,慢时节拍周期2ms,快节拍周期1ms,符合设计的预期。(2)控制器的设计节拍控制电路的输出接移位寄存器的出口,通过合适的逻辑电路组合控制SO、SI,和左移SL右移SR的电平信号。由控制器状态与74LS194芯片的控制信号对应关系和74LS194的功能表可写出控制器信号输出真值表。根据控制器输出信号真值表,画出卡诺图,进行化简,写出芯片各端的逻辑表达式:5。=05。3+。504+。3。0|。+。3&6+。5以。20他0一一一$(2)=。5以+Qs。4So=Q5Q3+Q5Q+03QiQ

13、xGo+a215以Qi2SLQ)=1SRm=Q2SI=O3+Q5S(Xl)=5。3+QsQa。3Qi0Oo+Q4Q3QiQ)+QQ3Q2Q1SL=52+54+52SR(D=。在本设计电路中实现逻辑表达式使用的逻辑电路与非门。3. 3把设计好的数据通路和控制器用逻辑电路连接起来根据上面的各量的表达式画出组合逻辑电路图,再将时钟信号和7位二进制计数器电路加入,即完成整个彩灯控制电路的设计,最后在计算机上调试。完整电路图如下:3. 4参数设计方波发生器的频率分别为100oHZ和500HZ,用来输入快慢信号。参考老师给的示例,所有电压源都是5V,调试后发现没有问题。四、调试过程及结果分析由于电路连线非

14、常多,在第一次电路连完时,彩灯不亮,首先我检查了一下数据通路和时钟信号产生电路以及二选一数据选择器,都没有问题。最后发现最复杂的门电路连线时不细心,少连了几根线。重新连接上后,再仿真发现正确。结果分析:八路彩灯可以按照三种花型变换128个节拍。说明电路连接正确。五、设计、安装及调试中的体会这次课程设计有7个题目,题目的内容都很有意思而且与生活很贴近,我选择的是移位寄存器行彩灯控制器。就在设计前刚过完圣诞节,看到外面各种彩灯,有不同的花型变化,当时我就觉得自己来做一次个彩灯仿真电路很有意思。彩灯这个电路是老师讲解的最多的,所以在自己开始做的时候入门还比较快。也参考了我们数字电路课本后面的彩灯控制器的设计范例,所以做起了来相对有个参考。但是,中间也遇到不少的麻烦。主要是节拍控制电路的设计,在设计7位2进制计数器的时候,一开始想有一个芯片直接实现,在调试输出节拍以及加上2选1数据选择器的时候也是正确的,符合设计预期。但是,在输出控制彩灯变化时,发现电路不能循环进行,通常只能进行一次128个节拍,也找不出到底是什么原因。所以,就换用7个D触发器实现节拍输出,经过调试就能正确的实现花型变化。再设计是也遇到一些小问题,但是经过查资料,反复调试,终于完成了自己的设计。还是感到付出是有收获的。课程设计让我很好的把学过的数电知识学会综合的运用,利用UUItiSinl仿真软件,搭建电路

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 通信/电子 > 电子设计

copyright@ 2008-2023 1wenmi网站版权所有

经营许可证编号:宁ICP备2022001189号-1

本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。第壹文秘仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知第壹文秘网,我们立即给予删除!