正弦发生电路的设计毕业设计论文(论文).doc

上传人:p** 文档编号:932720 上传时间:2024-04-18 格式:DOC 页数:21 大小:496.45KB
下载 相关 举报
正弦发生电路的设计毕业设计论文(论文).doc_第1页
第1页 / 共21页
正弦发生电路的设计毕业设计论文(论文).doc_第2页
第2页 / 共21页
正弦发生电路的设计毕业设计论文(论文).doc_第3页
第3页 / 共21页
正弦发生电路的设计毕业设计论文(论文).doc_第4页
第4页 / 共21页
正弦发生电路的设计毕业设计论文(论文).doc_第5页
第5页 / 共21页
正弦发生电路的设计毕业设计论文(论文).doc_第6页
第6页 / 共21页
正弦发生电路的设计毕业设计论文(论文).doc_第7页
第7页 / 共21页
正弦发生电路的设计毕业设计论文(论文).doc_第8页
第8页 / 共21页
正弦发生电路的设计毕业设计论文(论文).doc_第9页
第9页 / 共21页
正弦发生电路的设计毕业设计论文(论文).doc_第10页
第10页 / 共21页
亲,该文档总共21页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《正弦发生电路的设计毕业设计论文(论文).doc》由会员分享,可在线阅读,更多相关《正弦发生电路的设计毕业设计论文(论文).doc(21页珍藏版)》请在第壹文秘上搜索。

1、 1 引言1.1 DDS的简单介绍DDS同 DSP(数字信号处理)一样,是一项关键的数字化技术。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。现代电子测量工作队波形发生器的性能提出了更高的要求,如输出波形质量更好、频率宽带更宽、频率更稳定、准确度及 分辨率更高、频率转换速度且输出波形相位连续等。DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分。频率控制寄存器可以串行或并行的方式装载

2、并寄存用户输入的频率控制码;而相位累加器根据频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。1.2 DDS的发展及其优点DDS是全数字化技术、其幅度、相位、频率均可实现程控,并可通过更换波形数据灵活实现任意波形,此外,DDS易于单片集成,体积小,价格低,功耗小,因此,DDS技术近年来得到了飞速发展,其应用也越来越广泛。在各行各业的测试应用中,信号源扮演着极为重要的作用。但信号源具有许多不同的类型,不同类型的信号

3、源在功能和特性上各不相同,分别适用于许多不同的应用。目前,最常见的信号源类型包括任意波形发生器,函数发生器,RF信号源,以及基本的模拟输出模块。信号源中采用DDS技术在当前的测试测量行业已经逐渐称为一种主流的做法。 DDS有如下优点:1.频率分辨率高,输出频点多,可达2的N次方个频点(N为相位累加器位数); 2.频率切换速度快,可达us量级; 3.频率切换时相位连续; 4.可以输出宽带正交信号; 5.输出相位噪声低,对参考频率源的相位噪声有改善作用;6.可以产生任意波形; 7.全数字化实现,便于集成,体积小,重量轻。1.3本文的主要内容 本文主要是运用DDS技术产生一个正弦发生电路的设计,它是

4、一种全数字频率合成技术,它完全没有振荡元件和锁相环,而是用一连串数据流经过数模转换器产生出一个预先设定的模拟信号(正弦信号)。它将先进的数字信号处理理论与方法引入信号合成领域,实现了合成信号的频率转换速度与频率准确度之间的统一。 1.3.1 DDS的主要芯片介绍 如表1-1所示,介绍由AD公司生产的几款DDS芯片的性能指标。本设计中采用的DDS芯片是AD9850。AD9850是AD公司生产的最高时钟为125MHz的直接频率合成器,主要由可编程DDS系统、高性能模数变换器(DAC)和高速比较器3部分构成。能实现全数字编程控制的频率合成,并具有时钟产生功能。表1-1DDS的主要芯片介绍2 DDS技

5、术产生信号波形的原理2.1 DDS的基本结构及基本工作原理直接数字频率合成器(Direct Digital Synthesizer)是一种把一系列数字量形式的信号通过DAC转换成模拟量形式的信号合成技术。目前使用最广泛的一种DDS方式是利用高速存储器作查寻表,然后通过高速DAC产生已经用数字形式存入的正弦波。一个直接数字频率合成器由频率控制字、相位累加器、波形存储ROM(正弦查询表)、D/A转换器和低通滤波器(LPF)构成。参考时钟为高稳定度的晶体振荡器,其输出用于同步DDS各组成部分的工作。DDS的原理框图如图2-1所示:图2-1 DDS原理框图DDS系统的核心是相位累加器,它由一个加法器与

6、一个N位相位寄存器构成。每来一个时钟脉冲,加法器将频率控制数据与累加寄存器输出的累加相位数据相加,把相加后的结果送至累加寄存器的数据输入端。累加寄存器将加法器在上一个时钟作用后所产生的新相位数据反馈到加法器的输入端,以使加法器在下一个时钟的作用下继续与频率控制数据相加。这样,相位累加器在参考时钟的作用下,进行线性相位累加,当相位累加器累加满量时就会产生一次溢出,完成一个周期性的动作,这个周期就是DDS合成信号的一个频率周期,累加器的溢出频率就是输出的信号频率。正弦查询表是一个可编程只读存储器,存储的是以相位为地址的一个周期正弦信号的采样编码值,包含一个周期正弦波的数字幅度信息,每个地址对应与正

7、弦波中0o-360o范围的一个相位点。将相位寄存器的输出与相位控制字相加得到的数据作为一个地址对正弦查询表进行寻址,查询表把输入的地址相位信息映射成正弦波幅度信号,驱动DAC,输出模拟信号,低通滤波器平滑并滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。对于计数容量为2N的相位累加器和具有M个相位取样的正弦波波形存储器,若频率控制字为,输出信号频率为,参考时钟频率为,则DDS系统输出信号的频率为。2.1.1 频率控制字的作用被称为频率控制字,也叫相位增量。DDS方程为:,为输出信号频率, 为参考时钟频率。当=1时,DDS输出最低频率(也即频率分辨率),为,而DDS的最大输出频率由奈奎斯特(

8、Nyquist)采样定理决定,即,也就是说的最大值为。因此,只要足够大,DDS可以得到很细的频率间隔。要改变DDS的输出频率,只要改变控制字即可。2.1.2累加器 相位累加器由位加法器与位寄存器级联构成。每来一个时钟脉冲 ,加法器将频率控制字与寄存器输出的累加相位数据相加,再把相加后的结果送至寄存器的数据输入端。寄存器将加法器在上一个时钟作用下继续与频率控制字进行相加。这样,相位累加器在时钟的作用下,进行相位累加。当相位累加器累加满时就会产生一次溢出,完成一个周期性的动作。2.2.3 控制相位的加法器通过改变相位控制字可以控制输出信号的相位参数。令相位加法器的字长为,当相位控制字由0跃变到(0

9、)时,波形存储器的输入为相位累加器的输出与相位控制字之和,因而其输出的幅度编码相位会增加,从而使最后输出的信号产生相移。2.2.4 控制波形的加法器通过改变波形控制字W可以控制输出信号的波形。由于波形存储器中的不同波形是分块存储的,所以当波形控制字改变时,波形存储器的输入为改变相位后的地址与波形控制字W(波形地址)之和,从而使最后输出的信号产和相移。2.2.6 D/A转换器D/A转换器的作用是把合成的正弦波数字量转换成模拟量。正弦幅度量化序列经D/A转换后变成了包络为正弦波的阶梯波。需要注意的是,频率合成器对D/A转换器的分辨率有一定的要求,D/A转换器的分辨率越高,合成的正弦波台阶数就越多,

10、输出的波形的精度也就越高。2.2.7 低通滤波器对D/A输出的阶梯波进行频谱分析,可知输出的阶梯波中除主频外,还存在分布在,等等的两边处的非谐波分量。因此,为了取出主频,必须在D/A转换器的输出端接入截止频率为的低通滤波器。2.2 DDS的数学原理设有一频率为的余弦信号:现在以采样频率对进行采样,得到的离散序列为: 其中为采样周期。对应的相位序列为 从上式可以看出相位序列呈线性,即相邻的样值之间的相位增量是一个常数,而且这个常数仅与信号的频率有关,相位增量为:因为信号频率与采样频率之间有以下关系: 其中与为两个正整数,所以相位的增量也可以完成:由上式可知,若将的相位均匀的分为等份,那么频率为的

11、余弦信号以频率采样后,它的量化序列的样品之间的量化相位增量为一个不变值。根据上述原理可以构造一个不变量为量化相位增量的量化序列: 然后完成从到另一个序列的映射,由构造序列: 公式(21)公式(2-1)是连续信号经采样频率为采样后的离散时间序列,根据采样定理,当时,经过低通滤波器平滑后,可唯一恢复出。 可见,通过上述变换不变量将唯一的确定一个单频率模拟余弦信号: 该信号的频率为: 公式(22)公式(22)就是直接数字频率合成(DDS)的方程式,在实际的DDS中,一般取,于是DDS方程就可以写成: 公式(23)根据公式(23)可知,要得到不同的频率只要通过改变的具体数值就可以了,而且还可以得到DD

12、S的最小频率分辨率(最小频率间隔)为当时的输出频率:可见当参考频率始终一定是,其分辨率由相位累加器的位数决定,若取,则,即分辨率可以达到,这也是最低的合成频率,输出频率的高精度DDS的一大优点。由奈奎斯特准则可知,允许输出的最高频率,即,但实际上在应用中受到低通滤波器的限制,通常,以便于滤波镜像频率,一般:由此可见DDS的工作频率带较宽,可以合成从直流到的频率信号,同时它的输出相位连续,频率稳定度高。3 总体设计方案31系统设计原理本文提出的采用DDS作为信号发生核心器件的全数控函数信号发生器设计方案, 根据输出信号波形类型可设置、输出信号幅度和频率可数控、输出频率宽等要求,选用了美国A/D公

13、司的AD9850 芯片,并通过单片机程序控制和处理AD9850的32位频率控制字, 再经放大后加至以数字电位器为核心的数字衰减网络, 从而实现了信号幅度、频率、类型以及输出等选项的全数字控制。本系统主要由单片机、DDS直接频率信号合成器、数字衰减电路、真有效值转换模块、A/D转换模块、数字积分选择电路等部分组成。单片机AT89S52是整个系统关键部分,通过对键盘进行扫描读入相位信息,经转换后输出到芯片AD9850,输出波形。键盘输入的数字信息经AT89S52控制的LCD1602显示。32总体设计框图MCUAD9850LFP正弦信号输 出键盘液晶系统构成如下图3-1所示。图3-1 系统框图4系统

14、硬件模块的组成4.1 键盘控制模块由于本设计中需要对输出波形的各项参数进行设置,所需要的按键较多,为了节省单片机的I/O口资源我们采用2*3的矩阵式键盘,如图4-1所示,通过2*3矩阵式键盘对波形的频率进行设置,操作简单方便,充分利用了单片机资源。图4-1 键盘控制电路4.2单片机控制模块主控电路中,以单片机为主体,通过分析键盘输入的数字值,对AD9850写入相应的控制字。它是系统的大脑。单片机(MICROCONTROLLER,又称微控制器)是在一块硅片上集成了各种部件的微型机算计,这些部件包括中央处理器CPU、数据存贮器RAM、程序存贮器ROM、定时器/计数器和多种I/O接口电路。4.2.1

15、 AT89S52功能特性描述AT89S52是一种低功耗、高性能CMOS8位微控制器,具有8K 在系统可编程Flash 存储器。使用ATMEL公司高密度非易失性存储器技术制造,与工业80C51 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8 位CPU 和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、有效的解决方案。AT89S52具有以下标准功能:8k字节Flash、256字节RAM、32 位I/O 口线、看门狗定时器、2个数据指针、三个16 位定时器/计数器、一个6向量2级中断结构、全双工串行口、片内晶振及时钟电路。另外,AT89S52 可降至0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 论文 > 毕业论文

copyright@ 2008-2023 1wenmi网站版权所有

经营许可证编号:宁ICP备2022001189号-1

本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。第壹文秘仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知第壹文秘网,我们立即给予删除!