EDA实验交通灯.docx

上传人:p** 文档编号:1082047 上传时间:2024-06-29 格式:DOCX 页数:12 大小:184.61KB
下载 相关 举报
EDA实验交通灯.docx_第1页
第1页 / 共12页
EDA实验交通灯.docx_第2页
第2页 / 共12页
EDA实验交通灯.docx_第3页
第3页 / 共12页
EDA实验交通灯.docx_第4页
第4页 / 共12页
EDA实验交通灯.docx_第5页
第5页 / 共12页
EDA实验交通灯.docx_第6页
第6页 / 共12页
EDA实验交通灯.docx_第7页
第7页 / 共12页
EDA实验交通灯.docx_第8页
第8页 / 共12页
EDA实验交通灯.docx_第9页
第9页 / 共12页
EDA实验交通灯.docx_第10页
第10页 / 共12页
亲,该文档总共12页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《EDA实验交通灯.docx》由会员分享,可在线阅读,更多相关《EDA实验交通灯.docx(12页珍藏版)》请在第壹文秘上搜索。

1、试验:交通灯(一)试验目的1、学习与日常生活相关且较困难数字系统设计:2,进一步熟识EDA试脸装置和QUartUSIl软件的运用方法:3、学习二进制码到BCD码的转换:(二)设计要求完成设计、仿真、调试、下载、使件测试等环节,在型EDA试验装置上实现一个由一条主干遒和一条乡间马路的汇合点形成的I字交叉路口的交通灯限制器功能,详细要求如M1.有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄、CG(乡嫁)六或交通灯须要限制:2、交通灯由绿转红前有4秒亮黄灯的间隔时间,由红转绿没彳f间隔时间:3、乡间马路右侧各埋有一个串连传厚器,当有车辆打算通过乡间马路时.发出恳求信号S=I.其

2、余时间S=O;4、平常系统存留在主干道通行(MGCR)状态,一旦S信号有效,经主道黄灯4秒(MYCR)状态后转入乡间马路通行(MRCG状态,但要保证主干道通行大于一分伸后才能转换:5、一旦S信号消逝,系统脱窗MRCG状态,即经乡道黄灯4秒(MRCY)状态进入MGCR状态,即使S信号始终有效.MRCG状态也不得长于20秒钟:6、限制对象除红绿灯之外.还包括分别在主干道和乡间q路各有一个两位十进制倒计时数码管显示.(三)主要仪器设备1、微机I台2、QuartuslI集成开发软件1套3、EDA试验装置1套(四)试验步骤1 .总体思路:本试验是实现十字交叉路口的交通灯限制器功能,分析姆个状态的状况,状

3、态一:主干道绿灯亮,乡干道红灯亮,数码管60sf到计时,计数完后若乡干遒有车要求通过,则转到状态二:若没有车要求通过,则接着状态一.状态二,主干道曲绿灯变为黄灯亮,乡干道仍旧为红灯亮数眄管4s倒计时,计数完后,然后进入状态三。状态三:主干道由黄灯变为红灯亮,乡干道由红灯变为绿灯亮,数码管20s倒计时,若倒计时期间乡干道没有车要求通过.则为上进入状态四:若始终有车要求通过,则以妥琲持20s之后进入状态四.状态四:主干道仍用为红灯亮,乡干道由绿灯变为黄灯亮,数玛管4s倒计时,计数完后,转至状卷一。可见,交通灯就是始终循环上述四个状态.2 .模块化分析:把程序的各个部分模块化。1)分频模块:本试脸采

4、纳了100HZ的时钟做扫描频率,2.SHZ的时钟整计数频率,故须要2个时钟。Pl:PrOCCSS(CIk一分疑variablecountI:stdJogic-vecto(7downoOkbeginifclkevenlandclk=thenCountk=CountI+1;ifcount!20thenCIkkV=O:dsifcountI40thenclkk=l;elsecountI:=00000000;clkkZ:=w01100000,-60when-O1=Z:=(XHOOOO(T;-20when,10m=Z:=0000010(r;4WHENOTHERS=NU1.1.;endcase;ifcz=H

5、llHorcz=10orrs=,0,thenbcd=Zb=1,;elsebbcd2=txxl;WHENOTHERS=NU1.1.:endcase:ifrst=,(henifcn=thenifbcd2lthenifbcd2(3downto0)=OoooTbCn-bcd2:=bcd2-7;bcd2(7downto4):=bcd2(7downto4)-(XX);bcd2(3dowmo0):=Ml001M;elsebcd2:=bcd2-l;endif:elseifzt=,Wthens6(K=1,zs2CK=,()zs4=,(r:clsifzt=*I(thens60=0,320=s4=;elses6CK

6、=X),s20=X)s4=r;-elses60=,l320=s4=:endif;endif;endif:endif;endi;bbccdd-bcJ2;endprocessP22;计数模块封装还的元件符号为:时序伪出图如下:“即”电学TtJ3K3mJ3JBK1始dkE11nrum11r1.r1.r1.rumnr1.njm11l1111rlVTJ1.rUmr1.lrJ1.r1.rmr1.m1111.;Mg)S)E:E)5ri)a:D3)状态转换模块:由于交通灯的状态是在4个状态中循环,故可以利用状态机来完成交通灯的状态转换.状态转换图如下:状态机转化的条件如下:Sourc*SnteDestinat

7、ionStKeConditionycr4)*ycrcr(M)WCyr*rcy0s4)wcSgCrctreeteaseaiswhen,=cz=OOa=X);whenwll;endcase:ifs6O=(henifs=TIhenstatcs=mycr:nK=M1(zzK=mO1w;cz=MllH:a=*;elsecz=Om;endif;elsecz,;endif;whennycr=caseiswhenT00:a=0:whenonull:endease;ifs4=thenstatcs=mrcg:m=M()r;zt=w10M;cz=*l*:a=;elseczeaseaiswhenT=cz=(X),u=

8、O;whenonull;cuicasc;if(s=,0,or($20=Tands=,)(bensu(es=mrcy;m=MIOM;zi=*lcz=la=lelseczcaseaiswhenT=XZb00%=0;whennull:endcase:ifs4=thenstatcs=mgcr;m=M(X)M:zt=M(M)M;cz=,11za=;elseCZV=00”;endif;endcase;endif;endPrlxreP3:状态转换的模块封装好的元件符号为:C*Zljl.0IC21.0J50mi.0&20时序仿其图如下:4)显示模块:完成要显示的数由BCD码转化为相应的数码管七段码,由数码管采

9、纳动态扫描的显示方式,还须要产生位选信号。程序如卜;P4:ProCCSS(CIk)数码管显示variableSSCClEStdJcgijvcctort2downtoO);beginifclk,cvcnandClk=Tthenifssedl,OOthensseelksseelll;elseSSeelI:=Oo0”:endif;endif;selxianshixia11shi:whenthers=null:endcase;CASExianshiISWHEN(XXXr=qiduanqiluanqiluan=OIOl1011;WHEN“0011-=XIidUanqiduanqiduanqiduanqi

10、duanqiduanqiduanNU1.1.;ENDCASE;ENDPROCESSP4;显示模块封装好的元件符号为:Mftt11i一Ck99(1.q-bbccdc(7.00n5h(3.0Qk1.r7qret3dk3UeWQUcciiH牛占9OMlQmuj3.顶层原理图:通过例化好的元件符号,在顶层文件中绘制原理图,来综合实现交通灯的整体功能,原理图如下:顶层文件的仿真时序如下:当状态I的60s倒计时完成后s=O,重坦状态dkrsls3seiQBiiM1.S加二二二二二二二二二二二二二二二二二二二二二二二二二二二二二二二二二二二二二EXEEIXlK工SCDKDE(E(DE(m)T三(E(ffiSCDDe:E(Tffi01(阻以迎泣乳皿0迎三巨)期酯回DIXDCD)IIDDDXDII叵回页巨反亘叵回页巨龙)酶(OW当60s倒计时后且s=l,转入状态2dknts9lSntukBctf.11jn11juu1.11rmwmjW1.nmjmn11T1.rUmnnnm,加IK(Ds:mxEnm):DDE:D33Es(mMnn0CDIXDE0IXD11D11DIEOIXDIOIinDnDE(11111111DBCDE(的区通奕闻叵I三三互运叵叵与回叵i宴匣i可D由状态2转入状态3drrt*OMl成。上Q加Inr1.nrumn111

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 通信/电子 > 电子电气自动化

copyright@ 2008-2023 1wenmi网站版权所有

经营许可证编号:宁ICP备2022001189号-1

本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。第壹文秘仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知第壹文秘网,我们立即给予删除!