EDA课程设计-4路抢答器.docx

上传人:p** 文档编号:1082085 上传时间:2024-06-29 格式:DOCX 页数:7 大小:71.19KB
下载 相关 举报
EDA课程设计-4路抢答器.docx_第1页
第1页 / 共7页
EDA课程设计-4路抢答器.docx_第2页
第2页 / 共7页
EDA课程设计-4路抢答器.docx_第3页
第3页 / 共7页
EDA课程设计-4路抢答器.docx_第4页
第4页 / 共7页
EDA课程设计-4路抢答器.docx_第5页
第5页 / 共7页
EDA课程设计-4路抢答器.docx_第6页
第6页 / 共7页
EDA课程设计-4路抢答器.docx_第7页
第7页 / 共7页
亲,该文档总共7页,全部预览完了,如果喜欢就下载吧!
资源描述

《EDA课程设计-4路抢答器.docx》由会员分享,可在线阅读,更多相关《EDA课程设计-4路抢答器.docx(7页珍藏版)》请在第壹文秘上搜索。

1、EKB设计与应用期I雌系别:电子工程系专业:电子信息工程技术班级:电信092班姓名:XXXXXXXX合作者:XXXXXXX学号:XXXXXXXXXXXX指导老师:XXXXX日期:2011年6月12n评分标准:1、考勤(10分)()分;2、纪律(10分)分:3、完成设计所用的时间(20分)()分;4、课设答辩(50分)()分:5、课设报告(10分)()分;总分()分。书目1、 设计题目(第3页)2、 设计目的(第3页)3、设计任务和要求(第3页)4、设计思路(第3页)5、 设计内容(第3页)6、试验设备(第4页)7、程序清单(第4页)8、仿真图和锁引脚图(第5页)9、设计心得(第6页)10、参考

2、资料(第7页)一、设计题目:四路抢答器二、设计目的:EDA课程设计是济模拟电子技术珞础,、中数字电子技术型础3、电子技术基础试验小课程后,电气类、自控类和电子类等专业学生在电子技术试验技能方面综合性质的试会训练课程,是电子技术唯础的一个部分,其目的是通过一周的时间,让学生驾驭EOA的法本方法,熟识一种EDA状件(QuarrusIl6.0),并能利用EDA软件设计一个电子技术综合问遨.并在试5金板上胜利下载,为以后进行工程实际向题的探讨打下设计基础.三、设计任务和要求:通过课程设计使学生能娴热驾双种EDA软件的运用方法,能娴熟的进行设计输入、算洋、管脚安排、下载等过程.运用此软件至少设计出一个电

3、子技术综合问即设计,设计怆入可采纳图形输入法和VHD1.硬件描述诺有怆入法.本设计任务是设计一个四人抢答涔.并要求当有某一参赛者首先按下抢答开关时.相应显示数码管亮并且蜂呜器响,此时抢答器不再接受其他输入信号,并且还要有置位、女位、镇存、计数器和七段数码管显示,设计报告须要附上仿直图和钺弓I图。四、设计思路,对于一个四人抢答器.四个选手在电路中的起始限制作用是一样的,当裁判员宣布起先抢答时,设先按卜他曲面的限制开关,相应的数玛管就显示他所在的台号,而且这是其他人再怎么按,信号也不会输入,说明每个人时其他人都有一个先发制人的作用,及集个人都在时间网制下,Ife锁存住其他选手的功能。可以设四个人分

4、别为输入端al、a2、a3、a4:因为四个输入崩在VHDl中,要求四个输入端应有相等的优先级别,但只要有一个输入端接入高电平常,就给其他信号一个反馈.使得他们的谕入信号无效,及数眄管不显示他们对应的台号:所以我们也可以并行语句,让他们设为两个进程(PRoCEES),同时也要求进程中有反馈信号语句,这样就可以完成一个简沽的四人抢答器,五、设计内容t1.设计一个可以容纳四组参褰的数字式抢答器,称组设一个按钮供抢答运用:2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用:3、设司一个主持人或位按钮;4、主持人第位后,起先抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,场

5、出者发出声音.依据上面的分析可知,我们只要用两个进程语句就可以处理好四位选手的相互限制的问版再将数码管用和与来限制计数港的置零端RESET.代码中al、a2,a3、a4分别代表四位参赛选手,RESET是主持人的限制开关,ClK是计数器的时钟信号,$1是蛛鸣器接口,f是姊鸣潞的限制开关,IED7S是显示台号的数码笆.六、试验设备I计尊机、EDA软件(QUartUSll6.0)、下载试睑箱.七、程序清单:1.IBRARYIEEE;USEIEEE.STO_1.OGIC_1164.AI1.;ENTITYqdqISP0RT(al,a2,a3za4rrestk:INSTD,1.OGIC;Sl:OUTSTD

6、e1.OGIC;1.ED7S:OUTSTD.1.OGC-VECTOR(6DOWNTO0);end;ARCHITECTUREoneOFqdqISSIGNA1.d:STD-1.IC-VECT0R(3DOWNTO0);SIGNA1.f:STD_1.OGIC;BEGINplPROCESS(aa2ra3,a4rrestk)BEGINif(rest=,)thenf三,0*;dt(d(O)=,)or(d(l)=l)or(d=T)thend(3)=;endif;if(a2=,l,)or(d(2)=,l,)andnot(d(0)=,l)or(d(l)=,)or(d(3)=,l,)thend(2)=,l;endi

7、f;if(a3=)or(d(l)=)arinot(d(0)=l)or(d(2)=l,)or(d(3=T)thend(lK=;endif;if(a4=,l,)or(d(0)三l)andnot(d(l)三,l,)or(d(2)=l,)or(d(2)三l,)thend(0)三,l,;endif;f=alora2ora3ora4;endif;endprocess;sl=fandelk;p2:PR0CESS(d)BEGINCASEdIS1.ED7S=-0111111;1.ED7S=rOOOOllO;1.ED7S=101101;1.ED7S三-1001111;1.ED7SWHEN1000=WHEN-01=

8、WHENh0010=WHENn000,=WHENOTHERS三NU1.1.;ENDCASE;endprocess;endone;八、仿真图和锁引脚图:仿真图H_IIIOIKnl一OluIU锁引脚图九、设计心得:通过此次课程设计,总体来说,收获颇丰,无论是在培褥自己的试脸动手实力还是培仔自己的性情方面。在此次的四路抢答器设计过程中,更进一步地熟识了芯片的结构及驾驭了各芯片的工作原理和其详细的运用方法,在连接数码管引脚的接法中,要求熟识逻轮电路及其芯片各引脚的功能,那么在电跖出错时便能新确地找出错误所在并刚好i正了.在设计电路中,往往是先仿真后连接实物图,但有时候仿真和电路连接并不是完全一样的,因

9、此仿真图和电路连接图还是有肯定区分的.所以在连接线路是就要求特别仔细,要清晰/解各个连接点之间的关系,这样才能在实际焊接过程中得心应手,取得事半功倍的效果,在设计电路的连接图中出错的主要缘市都是接线和芯片的接触不良以及接城的焊点所引起的。在输入程序过程中,组员间协作的特别好,进度相当快,虽然在其中几个部分中遇到几点特别困难的地方,但是还是被我们解决了,这就是团队的力气.在起先的设计和最终的询试过程是慢氏的,有时我们为了一个问题要找很长时间.我们既要在计停机的收集资料.又要在试验室验证.这个过程特别年苦,但是也特别欢乐最终我们通过一个星期的艰苦奋斗,最终完成了四路抢答器,并调试胜利,为此我们感到无比的脐做。但是我In不能做慢自大,还需接存努力争取做汨Ai好、依棒,成为学校的傲慢,十、参考资料,1康华光主编.电子技术基础数字部分(第五版).高等教化出版社,20062数字电子技术4试验指导书3杨去行主编.模拟电子技术简明教程(第三版).高等教化出版社,20054谢自美主编.电子线路设计、试轮、测试.华中理工高校出版社,20005吕思忠主编.数子电路试验与课程设计.哈尔滨工业高校出版

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 通信/电子 > 电子设计

copyright@ 2008-2023 1wenmi网站版权所有

经营许可证编号:宁ICP备2022001189号-1

本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。第壹文秘仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知第壹文秘网,我们立即给予删除!