eda课程设计交通信号灯.docx

上传人:p** 文档编号:1082091 上传时间:2024-06-29 格式:DOCX 页数:11 大小:213.38KB
下载 相关 举报
eda课程设计交通信号灯.docx_第1页
第1页 / 共11页
eda课程设计交通信号灯.docx_第2页
第2页 / 共11页
eda课程设计交通信号灯.docx_第3页
第3页 / 共11页
eda课程设计交通信号灯.docx_第4页
第4页 / 共11页
eda课程设计交通信号灯.docx_第5页
第5页 / 共11页
eda课程设计交通信号灯.docx_第6页
第6页 / 共11页
eda课程设计交通信号灯.docx_第7页
第7页 / 共11页
eda课程设计交通信号灯.docx_第8页
第8页 / 共11页
eda课程设计交通信号灯.docx_第9页
第9页 / 共11页
eda课程设计交通信号灯.docx_第10页
第10页 / 共11页
亲,该文档总共11页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《eda课程设计交通信号灯.docx》由会员分享,可在线阅读,更多相关《eda课程设计交通信号灯.docx(11页珍藏版)》请在第壹文秘上搜索。

1、2.2.1 交通灯系统限制框图如图2所示:图2.2.1系统框图2.2.2 计数值与交通灯亮灭关系图:设A方向的车流量较B方向大,因此设A方向红、黄、绿、左拐灯亮时长分别为55、5、40、15秒,B方向红、黄、绿、左拐灯亮时长分别为65、5,30、15秒,与此同时由数码管指示当前状态(红、黄、绿、左拐的剩余时间。2.2.4交通灯限制状态转换通过至顶向下(TOP-DOWN)的设计方法,我们对电路的设计要求作f分析,从电路要实现的功能着手,逐层分析电路设计的步骤,再具体到各个模块的设计实现以及各模块实现方案的选择。从本设计的电路要求,我们分析了须要实现个输入状态的编码,以及对循环点亮灯的方式的选择,

2、综合这两种状态限制输出信号的状态变更。表2.2.4A方向B方向绿灯G黄灯Y左拐1.红灯R绿灯G黄灯Y左拐1.红灯R10000001010000010010000101000001000110000001010000010010000101008个发光二极管组成,其中由7个瘦长的发光二极管组成数字显示,另外一个圆形的发光二极管显示小数点。当发光二极管导通时,相应的一个点或一个笔画发光。限制相应的二极管导通,就能显示出各种字符,尽管显示的字符形态有些失真,能显示的数符数量也有限,但其限制简洁,使有也便利。此次设计采纳动态显示方法一位一位地轮番点亮各位显示器(扫描),对于显示器的每位而言,每隔段时间

3、点亮次。虽然在同时刻只有一位显示器在工作(点亮),但利用人眼的视觉暂留效应和发光二极管熄灭时的余辉效应,看到的却是多个字符“同时”显示。显示器亮度既与点亮时的导通电流有关,也与点亮时间和间隔时间的比例仃关.调整电流和时间参烽,可实现亮度较高较稳定的显示。动态显示器的优点是节约硬件资源,成本较低,但在限制系统运行过程中,要保证显示器正常显示,CPU必需每隔段时间执行次显示子程序,这占用了CPU的大任时间,降低了CPI工作效率,同时显示亮度较静态显示器低“RllDSB218比特xI8比特乘法器、专用外部存储器接口电路、4kbii嵌入式存储器块、锁相环(P1.1.)和高速差分I/O实力。Cyclon

4、eIl器件扩展了FPGA在成本敏感性、大批量应用领域的影响力,持续了第一代CyCIone器件系列的胜利。5软件仿真与调试5.1源代码及说明/*信号定义与说明:C1.K:同步时钟:EN:使能信号,为1的话,则限制器起先工作;1.AMPA:限制A方向四盏灯的亮灭:其中,1.AMPAO1.AMPA3,分别限制A方向的左拐灯、绿灯、黄灯和红灯:1.AMPB:限制B方向四蠡灯的亮灭:其中,1.AMPBo-1.AMPB3,分别限制B方向的左拐灯、绿灯、黄灯和红灯:ACTOUNT:用于A方向灯的时间显示,8位,可驶动两个数码管:BC0UNT:用于B方向灯的时间显示,8位,可业动两个数码管。*/modulet

5、raffic(C1.K.EN.1.MP,1.MPB.COUNTICOUNTO.BCOUNTI.BCOUNTO);ompul(3:0|ACOUNTI.ACOUNTO.BCOUNTl,BCOUNTO:OUIPUt3:()11.AMPA.1.AMPB;inputC1.K.EN;reg(3:0|numaIjwna,numbl.numb;reglempa.(cmpb:rcg(2:0|counta,countb;reg3:0|arcdI,aycllowI,agrccnI,alc11I,bredI,bycllow1.bgrccnl,blcftl;reg3:0Jared0.ayellow0.agreen0.a

6、left0.bfed0byellow0.bgreen0.bleft0;reg(3:0J1.AMPA,1.AMPB:always(EN)if(!EN)beginaredl=4,d5:arcd=4,d555杪aycllowl=4d()ayclIow0=4d5:/5秒agrecnI=4,d4;agrccn()-4*dO;/4O秒aeft=4,dkaleftO=4,d515秒brvdl=4,d6:bred=4d565杪beginif(!lempb)begin(cmpb=l;case(coun(b)0:beginnumbl=brcdl;numbO=bredO:1.AMPB=4*blO(M);wntb=l

7、:end1: beginnumbl=bgrecnl:numb(K=bgrccn()z1.AMPB=4,bO)l();countb=2:end2: beginnumb1=bydlowIinumMK-bycllo,0;1.AMPB=4b0100:COUnlb=3;end3: beginnumbl=bleftl;numb0=blef(01.AMPB=4bXX)l;countb=4;end4: beginnumbl=byellwl;numb0=bydlow01.MPB=4,b01(X):counlb=1numb=1)if(numbO=O)beginnurnb=4bl(M)l;numbl(empb=0:

8、endendelsebegin1.AMPB=4100O;countb=0:tcmpb=O:endendcndnulu!cendmodule5.2仿真结果通过QUartUSn软件,我们进行了仿真,其仿真波形如下图:3。川工三二1.UZ(wrKtC*rtiy-14tA*ta.CaMrvM(*MlMa3Z,CC4“;一11V*4ZlD6一TtaJwMMMI4MC“tu么CMMKUCwutUMUftM“Ut*MM*mua1A,9osWaImx”VCW/,M4I4V*MIMt*4ter*Z9ttxtMMiRyEM3AaJeXeMA”在QuartusIl软件中利用硬件描述语言描述电路后,用RT1.View

9、ers生成的对应的顶层模块连接图如卜所示:5.3调试在QUartUSH软件中,通过对所设计的硬件描述语言代码进行波形仿真后,达到了预期效果,于是,我们在该软件上进行下载配置设置在.Assignments菜单下选中Devices,在Family栏选择CEX1K.选中EPFK)KlO1.c84-4器件。再在Assignments菜单下选中Pins依据相应要求对管脚进行锁定。最终在Tools菜单下,选中Programmer,时配置方式进行设置,这里选择PaSSiVeSeriI(PS)被动串行模式“选择好要下载的硬件设备后点击Start即可起先编程卜载了。调试过程为在线调试.在通过调试中,我们发觉J很

10、多问题.在软件上能实现仿真的程序不肯定在硬件电路上就能运行,缘由有很多,这里是由于电路中的时钟频率太快,若不增加一个分频电路,灯闪燃时间太快,肉眼无法视察,故设计了一个20MHZ到2HZ的分频电路。调试中的实际问题须要考虑,人同时按多个键的同步性,不能达到时钟的精度,比如模拟键盘的输入状态是高电平有效,由于分频的运.算很大,故增加分频电路后,在QUartUSll软件中则不能进行正确的仿真,可以干脆将程序下载到电路板上去调6.1 设计收获两周的课程设计结束r,通过这次设计,我的理论学问驾驭得更扎实,动手实力明显提高。同时,通过网上搜寻图书馆查阅资料等方式相识到了自己学问的局限性。我学到很多学问,

11、也相识到理论联系实践的重要。在设计当中遇到了很多以前没遇到的困难。学会了利用很多的方法去解决所遇到的问题。编好程序后,虽然总是出错,比如说状态不能变更,绿灯不能按时囚爆等,但是经过多次探讨在老师和同学的楮助卜最终找到问题所在并订正。这次设计,让我感受最深是:在仿真的阶段遇到很多的问题,我们肯定要具备肯定的检杳、解除错误的实力。我深刻相识到了“理论联系实际”的这句话的重要性与真实性。而且通过对此课程的设计,我不但知道了以前不知道的理论学问,而且也巩固以前知道的学问.在本次设计中,我们完成本系统设计的要求及功能。在设计起先前我们对各个模块进行了具体的分析和设计打算工作,设计过程中,我们相互协调,主

12、动参加完成各个技术实现的难点。6.2 设计改进由于时间仓促和我们自身学问水平有限,木设计在功能上也只是完成了一些基本功能,对于电路的牢靠性,稳定性等参数也还未做过具体的测试。在交通的人性化限制方面也还未做周全的考虑,若在行驶过程中出现交通信号灯损坏或是电源断电的状况,则应有紧急状态灯来限制交通的管理,好提示司机们或是行人留意保持车距,避开交通事故的发生。当出现交通事故或有章急状况时,应启动紧急状态,比如增设一个限制信号使其动身交通灯东西南北四个方向红灯同时点亮,从而避开连环的交通事故发生。对我而言,学问上的收获宙要,精神上的丰收更加可喜。挫折是一份财宝,经验是一份拥有。这次设计必将成为我人生旅

13、途上一个特别美妙的回忆!此次设计,使我受益匪浅.老师严谦的治学看法和踏实的工作作风使我受益终身,在段老师的辛勤指导下,我们小组同学主动探讨和思索,完成本此课程设计。此外我要特殊感谢段老师,在我们的设计过程中,至始至终的悉心指导,我们的设计才得以顺当完成。两周的时间过去了,段老师悉心的指导和关怀让同学们照旧铭感于心,我在这里对段老师以及帮助我学习的同学们表示深深的感谢!参考文献1谢自美.电子线路设计(其次版)M.华中科技高校出版社.20002卢毅编著.YHD1.与数字电路设计M.北京.科技高校出版.20013侯佰亨,程新编著.YHD1.硬件描述语言与实际应用M.西安电子科社.20004康华光陈大钦.电子技术基础模拟部分(第四版)N卷等教化出版社.19875()J.Bhasker.VorilogHD1.硬件描述语言M.机械工业出版社.20006周明德.微型计算机系统原理及应用(第四版)M.清华高校出版社.20027张洪润等.电子线路及应用.北京.科学出版社.20028杨宝清.好用电路手册.北京.机械工业出版社.2002

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 课程设计

copyright@ 2008-2023 1wenmi网站版权所有

经营许可证编号:宁ICP备2022001189号-1

本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。第壹文秘仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知第壹文秘网,我们立即给予删除!