课程设计报告写作参考模板.docx

上传人:p** 文档编号:805192 上传时间:2024-03-04 格式:DOCX 页数:25 大小:326.06KB
下载 相关 举报
课程设计报告写作参考模板.docx_第1页
第1页 / 共25页
课程设计报告写作参考模板.docx_第2页
第2页 / 共25页
课程设计报告写作参考模板.docx_第3页
第3页 / 共25页
课程设计报告写作参考模板.docx_第4页
第4页 / 共25页
课程设计报告写作参考模板.docx_第5页
第5页 / 共25页
课程设计报告写作参考模板.docx_第6页
第6页 / 共25页
课程设计报告写作参考模板.docx_第7页
第7页 / 共25页
课程设计报告写作参考模板.docx_第8页
第8页 / 共25页
课程设计报告写作参考模板.docx_第9页
第9页 / 共25页
课程设计报告写作参考模板.docx_第10页
第10页 / 共25页
亲,该文档总共25页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《课程设计报告写作参考模板.docx》由会员分享,可在线阅读,更多相关《课程设计报告写作参考模板.docx(25页珍藏版)》请在第壹文秘上搜索。

1、湖南科技大学潇湘学院信息与电气工程系课程设计汇报题目:硬件描述语言课程设计专业:通信工程班级:二班姓名:学号:指导教师:胡仕刚2023年1月8日课程设计任务书题目设计时间设计目的:设计要求:总体方案实现:指导教师评语:VHDL0英文全写是:VHSlC(VeryHighSpeedIntegratedCircuit)HardwareDescriptionLanguage.翻译成中文就是超高速集成电路硬件描述语言。因此它的应用重要是应用在数字电路B设计中。VHDL重要用于描述数字系统B构造,行为,功能和接口VHDLB程序构造特点是将一项工程设计,或称设计实体(可以是一种元件,一种电路模块或一种系统)

2、提成外部(或称可视部分,及端口)和内部(或称不可视部分),既波及实体的内部功能和算法完毕部分。在目前,可编程逻辑器件、单片机、DSP已经成为数字系统的J硬件基础,而从事数字系统的设计必须掌握可编程逻辑器件的设计措施,而VHDL语言是一种原则B数字系统硬件电路设计语言,为所有可编程逻辑器件厂商所支持,已成为电路设计人员和电子设计工程师必须掌握0工具。VHDL语言是培养信息类专门人才的一门必修的专业基础课程。通过本次课程设计,使我们可以学习和掌握现代电子系统设计的新技术、新器件,掌握硬件描述语言VHDLrJ编程技术和硬件描述措施,可以对设计系统进行规范描述掌握有关软件的J使用,操作。能对VHDL语

3、言程序进行编译,调试,以及通过计算机仿真,得到对00仿真波形图,并根据所得仿真波形图分析判断并改善所设计B电路。本次课程设计设计重要使用了VHDL语言,采用日勺开发软件是MAXPLUSIL设计一种多功能数字钟。在MAXPLUSIl开发平台下进行了编译、仿真、下载,实现了基本记时显示和设置、调整时间、报时和闹钟功能。关键词:EDA;VHDL;Max+plusII;L设计目的22.设计任务23,设计规定24,设计内容35 .电路工作原理45.1 交通灯工作原理45.2 五人表决器工作原理46 .重要程序和仿真成果56.1 .1交通灯程序56.2 仿真成果模块分析66.3 五人表决器程序74.4仿真

4、成果模块分析97.心得体会13参照文献14一,设计目的:1,学习使用EDA开发工具MAX+plusIL2,学习使用VHDL语言设计交通灯,五人多数表决器。3,熟悉交通灯,五人多数表决0原理。二,设计任务:D设计一种交通灯2)设计一种五人多数表决器。三,设计规定:交通灯1)、实现一种十字路口的信号灯控制电路。2)、信号灯分别由红、黄、绿三种颜色构成,运行时,绿灯亮40秒钟,然后闪5秒,绿灯灭,黄灯亮2秒,黄灯灭,红灯亮,同步另一方向的绿灯亮,红灯亮0时间为47秒。3)扩展规定:1、增长显示功能,即每个灯亮时显示对应0秒数并倒计时。2、增长左转功能,即信号灯由红灯、黄灯和两个绿灯构成,左转时间为1

5、5秒,红灯亮的时间调整为62秒。五人表决器1) 五人多数表决逻辑:多数通过;2) 在主持人控制下,10秒内表决有效;3) 采用数码管显示表决10秒倒计时;4) 表决结束后用发光二极管及数码管显示表决成果,数码管显示成果形式:通过,不通过;5) 设主持人控制键,复位键:控制键:启动表决;复位键:系统复位。6)自制试验方案,完毕设计任务四,设计内容交通灯阐明:伴随基于VHDLBEDA技术B发展和应用领域B扩大与深入,EDA技术在电子信息、通信、自动控制用计算机等领域的重要性日益突出。作为一种学电子信息专业的学生,我们必须不停地理解更多时新产品信息,这就愈加规定我们对EDA有个全面的认识。本程序设计

6、的是交通灯的设计。采用EDA作为开发工具,VHDL语言为硬件描述语言,Max+plusH作为程序运行平台,所开发0程序通过调试运行、波形仿真验证,初步实现了设计目的在一种交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象0,也许会陷入一片混乱,甚至瘫痪。当然我们每个人都不但愿这样。我们作为社会的一员,每人均有责任为它的愈加先进和快捷做出力所能及时事情。并且通过些次试验使得我们对电子技术课程内容的理解和掌握有了更深一层的认识,掌握电子电路的基本分析措施和设计措施。五人表决器阐明:在脉冲作用下,使用减法计数器,在初值为10秒的时候,主持人按

7、控制键启动表决后,开始计时。每来一种脉冲计数器就减少1。一直这样下去,直到计数器变为0。计数器为0时投票无效。最终记录投票人数通过同意人数决定表决成果,当投票人不不大于3人时,投票通过。在主持人按下复位键时,计数回到10,重新进行减法计数器。直到为0。五,电路工作原理5.1 交通灯工作原理通过制作来理解交通灯控制系统,交通灯控制系统重要是实现都市十字交叉路口红绿灯的控制:Clk时钟秒脉冲发生电路在红绿灯交通信号系统中,大多数状况是通过自动控制的方式指挥交通的。因此为了防止意外事件的发生,电路必须给一种稳定0时钟(CloCk)才能让系统正常运作。1,计数秒数选择电路计数电路最重要B功能就是记数负

8、责显示倒数时计数值,对下一种模块提供状态转换信号。系统输入er,ey,eg:接受由elk电路的提供的Ihz的时钟脉冲信号;系统输出信号sr,sy,sg产生显示电路状态转换信号,wr,wy,wg:倒计数值秒数个位变化控制信号,nr,ny,ng:倒计数值秒数十位变化控制信号2,红绿灯状态转换电路本电路负责红绿灯的J转换。系统输入信号:full:接受由elk电路时提供0Ihz时时钟脉冲信号;sr,sy,sg:接受计数秒数选择电路状态转换信号;系统输出信号:PQUIQUI:负责红绿灯的状态显示。3,时间显示电路:本电路负责红绿灯的计数时间的显示。系统输入信号:wr,wy,wg:倒计数值秒数个位变化控制

9、信号;nr,ny,ng:倒计数值秒数十位变化控制信号;六,重要程序和仿真成果6.1 交通灯的程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entitytrafficledisport(clkl:instdlogic;reset:instd_logic;pout:outstd_logic_vector(12downto1);endtrafficled;architecturetrafficled_archoftrafficledissignal

10、er,ey,eg:std_logic;signalsr,sy,sg:std_logic;signalwr,wy,wg:std_logic;signalnr,ny,ng:std_logic;signalcount:integerrangeOto11;signalstate:integerrangeOto4;beginprocess(clkl,reset,count)beginifreset=,thencount=0;state=O;elseif(clkeventandclkl三,)thencount=count+l;if(count=ll)thenstate4)thenstateey三,1,;w

11、y=,1,;sy=,1,;ny=,1,eg=0,;wg=O,;sg=O,;ng=O,er=O,;wr=O,;sr三,O,;nrey=O,;wy=O,;sy=O;ny=O,eg=;wg=;sg=O,;ng=O,er三,O,;wr=O,;sr=,1,;nrey三,1,;wy=,1,;sy=,O,;ny=,O,eg=1,;WgC,;sg=O,;ng=O,er=O,;wr=O,;sr=1,;nrey三,O,;wy=,O,;sy=O,;nyeg=O,;wg=O,;sg=1,;ng=1,er-1,;wr=,1,;sr=,0,;nrey=0;wy=0;sy=1;ny=1;eg=0,;wg=0,;sg=1,;

12、ng=1,;er=1,;wr=1,;sr=0,;nrnull;endcase;endprocess;pout(1)=er;pout(2)=eg;pout(3)=ey;pout(4)=sr;pout(5)=sg;pout(6)=sy;pout(7)=wr;pout(8)=wg;pout(9)=wy;pout(10)=nr;pout(11)=ng;pout(12)dk %r pout W count 由 stateH 924 9 4X28AK38E451:HO一 一1112X 32)引脚图SetSBAoBo二二二Ss湍IlII察一二二一m一iz2黑蜉I主H-H-主DaO110nodn(Oo)UJoaqoo)nc三o-0-0-Uoaqoo)ninSNSgn4443424140(。匚7(Mo)匚Spoutt(WO)匚,SSport7S7ZJPout63CpUt5ZSH(VCC)MPoUtI2JSPOUtII32fOUt1031口信。)30H(GND)POUttU192021222324252272sUgUgu=nodUgUSnod80SNOUgUgU(Ql)Ug3)时序分析DelayMatrixDestnalion6.2 五人表决器工作原理信号表voter:维数组VOter用来表达五位表决者;pass:表决最终与否通过(1为“通过,0为未通过”);total:表决通过B人数;cou

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 论文 > 论文指导/设计

copyright@ 2008-2023 1wenmi网站版权所有

经营许可证编号:宁ICP备2022001189号-1

本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。第壹文秘仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知第壹文秘网,我们立即给予删除!